10

I tried to begin a small course with some examples in Verilog Hdl and after spending much time searching for the best Simulator or IDE to practice with some examples , I failed to figure out how to use it on Ubuntu 12.04

So, I have two questions :

  1. How can I use Verilog on Ubuntu 12.04?

  2. What is the best Simulator (or IDE) available for Linux?

0

5 Answers 5

6

Does this post help: Verilog and VHDL on Linux (Ubuntu)?

It recommends a combined use of Icarus Verilog (iverilog in repositories) for Verilog simulation, GHDL for VHDL simulation, and GTKWave (gtkwave in repositories) for waveform viewing.

If you want an Eclipse-based Verilog editor, try veditor.

6
  • but the Veditor doesn't open i open it with JDK6 and no respond or there is another way to launch it
    – amrro
    Nov 15, 2012 at 19:02
  • It is not a standalone product, but an eclipse plugin; install eclipse, then follow the instructions here: sourceforge.net/apps/mediawiki/veditor/…
    – Tom Regner
    Nov 15, 2012 at 19:06
  • ok i want another favor ,, could you update your answer by how to install icarus verilog ,, ican't install it
    – amrro
    Nov 15, 2012 at 19:24
  • ghdl give me that error ... Package ghdl is not available, but is referred to by another package. This may mean that the package is missing, has been obsoleted, or is only available from another source E: Package 'ghdl' has no installation candidate
    – amrro
    Nov 16, 2012 at 9:33
  • Ah - found mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html; due to build issues ghdl is dropped from debian ATM, and therefor also in ubuntu as of 12.04; you might want to try to compile it yourself (and install it via checkinstall) - but talking/writing you through that is beyond what my time allows
    – Tom Regner
    Nov 16, 2012 at 9:53
5
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

To see if everything is working correctly, lets do the hello-world of verilog.

nano hello.v

then write the following hello-world code

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

Then compile

iverilog hello.v -o hello

and finally run your code

vvp hello
3

You can download SynpatiCAD's Linux Verilog simulator which is Ubuntu compatible. It includes a command line simulator and a graphical IDE. After you install it, you can run the tool and request a free 6 month license for the simulator.

1

You can also use the IDE environments for FPGA development. Altera Quartus for Altera (Intel) FPGA or Xilinx ISE for Xilinx devices. These environments allow you to write VHDL and Verilog source code and include simulators.

1
  • Personally been using Quartus Prime, good enough IDE, although if you're going to download verilog code onto actual FPGA, you need to edit udev rules for the device to be recognized. Oct 8, 2018 at 18:36
-1

Try this command in a terminal window:

sudo apt-get install gplcver
1
  • This doesn't answer the question. Please elaborate your answer. What is gplcver? How to use it? Sep 16, 2013 at 10:31

You must log in to answer this question.

Not the answer you're looking for? Browse other questions tagged .